Create fileio module
[alexxy/gromacs.git] / src / gromacs / gmxpreprocess / readpull.c
index 6fa075400b596c52fdd5164422a10bbd68398b72..0b2c2f985ff337a8a0f77d70158f3f5d3603af62 100644 (file)
@@ -40,7 +40,7 @@
 #include <stdlib.h>
 #include "sysstuff.h"
 #include "princ.h"
-#include "futil.h"
+#include "gromacs/fileio/futil.h"
 #include "statutil.h"
 #include "vec.h"
 #include "smalloc.h"