Create fileio module
[alexxy/gromacs.git] / src / gromacs / gmxana / gmx_rama.c
index 5b6b70e7dd2587ff977c6eaa5e6ed36e95621179..9329ddf20e7f3da777bf1ba31202d02f95e8e974 100644 (file)
@@ -46,7 +46,7 @@
 #include "xvgr.h"
 #include "physics.h"
 #include "pbc.h"
-#include "futil.h"
+#include "gromacs/fileio/futil.h"
 #include "statutil.h"
 #include "index.h"
 #include "nrama.h"