Create fileio module
[alexxy/gromacs.git] / src / gromacs / gmxana / gmx_hbond.c
index 92ea501334d53b7fcb6331e02026b0a4bf127018..15336ee971fb23b083084a504c6401840d1a48f2 100644 (file)
@@ -46,8 +46,9 @@
 #include "copyrite.h"
 #include "sysstuff.h"
 #include "txtdump.h"
-#include "futil.h"
-#include "tpxio.h"
+#include "gromacs/fileio/futil.h"
+#include "gromacs/fileio/tpxio.h"
+#include "gromacs/fileio/trxio.h"
 #include "physics.h"
 #include "macros.h"
 #include "gmx_fatal.h"
@@ -56,7 +57,7 @@
 #include "vec.h"
 #include "xvgr.h"
 #include "gstat.h"
-#include "matio.h"
+#include "gromacs/fileio/matio.h"
 #include "string2.h"
 #include "pbc.h"
 #include "correl.h"