Create fileio module
[alexxy/gromacs.git] / src / gromacs / gmxana / gmx_filter.c
index 20538b46bb944b6842f58e79fd42e2ebef219d49..dd8bd10f7c3f3a5ba44170dc2840ed65b8ad764f 100644 (file)
@@ -46,7 +46,8 @@
 #include "vec.h"
 #include "statutil.h"
 #include "index.h"
-#include "tpxio.h"
+#include "gromacs/fileio/tpxio.h"
+#include "gromacs/fileio/trxio.h"
 #include "princ.h"
 #include "do_fit.h"
 #include "rmpbc.h"