Create fileio module
[alexxy/gromacs.git] / src / contrib / test.c
index 1f88caa1b0182f0f8efaf53ad083a88e65430f2f..8b28c3a37e96b1d5a40ab9f109d265debdcad769 100644 (file)
@@ -43,7 +43,7 @@
 #include "copyrite.h"
 #include "gmx_fatal.h"
 #include "xvgr.h"
-#include "pdbio.h"
+#include "gromacs/fileio/pdbio.h"
 #include "macros.h"
 #include "smalloc.h"
 #include "vec.h"
@@ -51,9 +51,9 @@
 #include "physics.h"
 #include "names.h"
 #include "txtdump.h"
-#include "trnio.h"
+#include "gromacs/fileio/trnio.h"
 #include "symtab.h"
-#include "confio.h"
+#include "gromacs/fileio/confio.h"
 
 real pot(real x,real qq,real c6,real c12)
 {